Skip navigation

Browsing by Academic Advisor Malik, Sharad

Jump to: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
or enter first few letters:  
Showing results 25 to 44 of 46 < previous   next >
Class YearAuthor(s)TitleAdvisor
1998Tennant, MatthewLogic Optimization for Binary Decoder Circuits - Simultaneous Area and Timing OptimizationMalik, Sharad
-Nie, QiMemory-Driven Data-Flow Optimization for Neural Processing AcceleratorsMalik, Sharad
1995DeRosa, Peter J.Microprocessor Based Traffic ControllerMalik, Sharad
2022James, WarrenMIPSView: An interactive modern web-based simulator of the MIPS R2000 microprocessorAugust, David; Malik, Sharad
1993Aytur, Turgut S.A Model for Series/Parallel Mos Circuits with Regard to Statisical Variances in ProcessingMalik, Sharad
2021Djokovic, JovanaModeling Accelerators for Machine Learning ApplicationsMalik, Sharad
2006Harster, RyanOptimization of a Dynamically Reconfigurable ProcessorMalik, Sharad
1991Mazhar, AfzalOptimization of the BDD Representation of Boolean Functions Using Simulated AnnealingMalik, Sharad
2000Rocca, Richard M.; Frickey, Robert E.A Parallel Implementation on a Distributed Memory Multiprocessor System of the Grasp Algorithm for Solving Propositional Boolean SatisfiabilityMalik, Sharad
1996Braun, Owen CharlesRetargetability Issues in Worst-Case Timing Analysis of Embedded SystemsMalik, Sharad
2012Susnea, AdrianaReverse Engineering Integrated CircuitsMalik, Sharad
-Sethi, DivjyotScaling Verification by Leveraging ParametrizationMalik, Sharad
2017Gilhooley, DavidSecure Boot: Formal Verification of Software & Hardware in a large SoCMalik, Sharad
2016Chou, ElaineA Secure Bootloader for Demonstrating Formal Verification of Hardware-Firmware Interactions on SoCsMalik, Sharad
2000Esposito, ThomasSimulation of a Distributed SAT SolverMalik, Sharad
-Yetim, YavuzStreaming Computation on Error-Prone Programmable PlatformsMartonosi, Margaret; Malik, Sharad
2001Reis, III, GeorgeA Survey of Low End Microcontroller with Special Emphasis on Criteria for the Princeton University Student Experiment BoardMalik, Sharad
2021Zhang, HongceThe Hardware-Software Interface for Systems-on-Chip: Formal Modeling and Modular VerificationMalik, Sharad
1993Coumeri, SariTiming Simulation with Bounded DelaysMalik, Sharad
-Sinha, ArnabTrace Based Analyses of Parallel SoftwareMalik, Sharad