Skip navigation

Browsing by Academic Advisor Malik, Sharad

Jump to: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
or enter first few letters:  
Showing results 1 to 20 of 46  next >
Class YearAuthor(s)TitleAdvisor
2000Moskewicz, Matthew W.; Madigan, ConorAccelerating Boolean Satisfiability with Distributed and Parallel ComputationMalik, Sharad
-Cakir, BurcinAddressing Integrated Circuit Integrity Using Statistical Analysis and Machine Learning TechniquesMalik, Sharad
-Zhu, Charlie ShuchengAdvances in Fault Diagnosis Automation for Silicon PrototypesMalik, Sharad; Weissenbacher, Georg
2016Ying, VictorAnalyzing Decision Heuristic Effectiveness in Boolean Satisfiability SolversMalik, Sharad
2009Loughlin, Robert JamesArchitectural and Micro-Architectural Specifications of a Pipelined CPU and Metric-Based Comparison to RTL DesignMalik, Sharad
-Schwartz-Narbonne, DanielAssertions for Debugging Parallel ProgramsMalik, Sharad
1999Chari, Saran; Forster, Richard KyleAugmented Reality Systems Research Part I: The Wearable ComputerMalik, Sharad
-Ahn, SunhaAUTOMATED FIRMWARE VERIFICATION USING FIRMWARE-HARDWARE INTERACTION PATTERNSMalik, Sharad
2024Zeng, YuAutomatic Generation of Hardware Abstractions from Register-Transfer Level (RTL) DesignsMalik, Sharad
1993Blumberg, RichardBounding Worst-Case Execution Time of Programs on the Intel 1960 MicroprocessorMalik, Sharad
-Zhang, ShuyuanComputer Network Verification and Management using Constraint SolversMalik, Sharad
-Golnari, Pareesa AmenehComputing on Large, Sparse Datasets and Error-Prone FabricsMalik, Sharad
2015Porto, Nicholas; Iberkleid-Szainrok, MichaelData Driven Home Automation SystemsMalik, Sharad
-Subramanyan, PramodDeriving Abstractions to Address Hardware Platform Security ChallengesMalik, Sharad
2015Asala, JeffreyDetecting power theft from electrical grids using Time Domain ReflectometryMalik, Sharad
2023Xing, YueEnabling SoC Verification through Instruction-Level Hardware ModelsMalik, Sharad
1995Chang, LukeExamining the Tardeoffs Between an On-Chip RAM and an On-Chip Cache for an Embedded ProcessorMalik, Sharad
2007Brien, Cameron W.E.Exploiting Circuit Reconvergence in Unit Propagation for SAT SolversMalik, Sharad
1996Donnelly, Ryan; Donnelly, RyanGuarded Evaluation Applied to the TORCH ProcessorMalik, Sharad
2024xu, zhixingHardware-Supported Computer Security - Detection, Diagnosis and DefenseMalik, Sharad